基于FPGA的以太网通信接口的实现.docx

资料分类:工业大学 上传会员:一抹彩虹 更新时间:2019-12-17
需要金币2000 个金币 资料包括:完整论文 下载论文
转换比率:金额 X 10=金币数量, 例100元=1000金币 论文字数:10024
折扣与优惠:团购最低可5折优惠 - 了解详情 论文格式:Word格式(*.doc)

摘要:本课题设计的是一种基于FPGA的以太网通讯接口完成的计划。以太网技术是上个世纪七十年代的产物,随着计算机网络的不断发展,由于它的简易型、价格便宜和高效性,成为了众多网络技术中影响力最为深远的一种局域网技术,随着网络的日渐普及,以太网被广泛应用。而以太网是现今局域网用的通讯协定的尺度,以硬件描述语言实现电路设计,为了快速,有效地实现FPGA与上位机之间的通信,因此设计该方案实现以太网通信接口。

随着以太网通信技术的飞速发展,及其FPGA的不断兴起,人们对于信息通信的要求与日俱增。经过了对硬件描述语言,TCP/IP协议以及对FPGA芯片的理解和学习,结合相关知识设计实现此方案,而最核心的就是对以太网通信接口的设计。以太网接口是网络通信的标准,常见的速率有10M、100M、1000M,是计算机、服务器、高速设备等常用的接口。由于其无可替代的通用性,几乎所有接入网络的硬件设备都有以太网接口。

关键词:FPGA;TCP/IP协议;MAC层;硬件描述语言;

 

目录

摘要

ABSTRACT

第一章 绪论-1

1.1课题研究的背景及意义-1

1.2 FPGA的国内外发展状况-1

第二章系统方案设计-2

2.1系统总体结构设计-2

2.2以太网接口TCP/IP协议-2

2.3系统层MAC接口设计-3

第三章硬件设计-4

3.1 FPGA的芯片介绍-4

3.2 FPGA设计流程-5

3.3以太网通信接口-5

第四章软件设计-8

4.1软件设计流程-8

4.2各模块程序的功能-8

4.2.1可编程输入输出模块-8

4.2.2可配置逻辑模块-8

4.2.3 DCM与BRAM模块-9

4.2.4内嵌功能模块-9

4.2.5实验验证-10

第五章试验和总结-13

5.1准备工作-13

5.2以太网的传输测试-16

5.3总结-20

结束语-21

致谢-22

参考文献-23

附录源程序代码-24

相关论文资料:
最新评论
上传会员 一抹彩虹 对本文的描述:本次设计将实现的是FPGA 芯片和PC机之间用以太网通信接口的实现。为了使PHY芯片能够将数据经过网线发送给PC,就要让FPGA 经过GMII总线来连接开发板上PHY 芯片并进行数据通信。具体的传......
发表评论 (我们特别支持正能量传递,您的参与就是我们最好的动力)
注册会员后发表精彩评论奖励积分,积分可以换金币,用于下载需要金币的原创资料。
您的昵称: 验证码: