基于FPGA的数字钟设计.doc

资料分类:科技学院 上传会员:一点点 更新时间:2019-05-30
需要金币1000 个金币 资料包括:完整论文 下载论文
转换比率:金额 X 10=金币数量, 例100元=1000金币 论文字数:7648
折扣与优惠:团购最低可5折优惠 - 了解详情 论文格式:Word格式(*.doc)

摘要:当今社会电子技术发展越来越快,数字钟的应用越来越广泛,本文就简要介绍了一种基于FPGA的多功能数字钟设计方案。通过比较基于单片机、硬件和FPGA三种不同的数字钟设计方案,择优选择了基于FPGA设计数字钟。该设计有基本的分频模块、计时模块、报时模块等等,用VHDL语言编写各个功能模块代码,再编译仿真,最后用顶层文件将各个模块连接起来,并下载在 FPGA 硬件开发板上进行测试。实现了计时(以24小时循环计数)、显示年月日、校时、整点报时以及闹铃等功能。实验证明该设计方案切实可行。

关键词:FPGA;数字钟;VHDL语言;QuartusⅡ;

 

目录

摘要

ABSTRACT

1 绪论-1

1.1 选题背景-1

1.2 国内外发展现状-1

1.3 本文研究内容-2

2 数字钟各个方案论证-3

2.1 基于51单片机设计数字钟-3

2.2 硬件设计数字钟-3

2.3 基于FPGA设计数字钟-4

2.4 总结-5

3 数字钟各个模块的实现与仿真-6

3.1 数字钟的总体设计思路-6

3.2 各个模块设计-6

3.3 数字钟设计顶层模块-14

4 数字钟在FPGA上的实现-16

4.1 平台介绍-16

4.2 实现步骤-16

4.3 实现结果-19

5 总结与展望-20

5.1总结-20

5.2 展望-20

参考文献-21

致谢-22

相关论文资料:
最新评论
上传会员 一点点 对本文的描述:本文主要研究基于FPGA设计的数字钟,能够正常显示并修改年、月、日、时、分、秒的数值,具有定时闹钟、整点报时功能。要求设置起闹时间时,不影响时钟的正常走时。......
发表评论 (我们特别支持正能量传递,您的参与就是我们最好的动力)
注册会员后发表精彩评论奖励积分,积分可以换金币,用于下载需要金币的原创资料。
您的昵称: 验证码: